2023년 7월 18일 화요일

2023-2024 주간 세미나 및 강좌 계획 (안)

2023-2024 주간 세미나 및 강좌 계획 (안)

취지

반도체 설계 도구의 사용법 배우기를 지양하고 더 높은 단계로 도약하기 위해 다음과 같이 '주간 세미나'와 '주간 강좌'를 계획 하고 있습니다.

  1. [주간 세미나]는 1회성 세미나 입니다. 설계도구를 소개하고 기법을 익히는 시간이 되도록 합니다.
  2. [주간 강좌]는 3~6회의 연속 강좌 입니다. 설계도구의 기초 사용법과 디지털 신호처리 중 멀티미디어, 통신시스템과 관련된 알고리즘의 기초를 강의 합니다.

세미나와 강좌의 원칙

  1. 정규 교과목에서 취하는 '전 과정을 기초부터 모든것'을 다루겠다는 방식을 피하고 실용성을 추구 합니다. 이론은 이미 정규 수업에서 들어 봤을 것이므로 요약하며, 의미있는 예제 중심으로 직접 수행해 보도록 합니다. 그 과정에서 수강자 스스로 새로운 아이디어를 구상해 낼 수 있길 바랍니다.
  2. 이론 수업과 더블어 회로제작측정장비의 활용은 물론 필요하다면 시험장치 제작까지 이어질 수 있을 것입니다.
  3. 세미나와 강좌의 내용은 온-라인 상에 모두 공개하여 스스로 학습에 도움이 되도록 할 것이며 오프-라인 세미나 시간에 질문 토론이 이뤄지길 바랍니다. 학점과정이 아닌 만큼 자유롭고 적극적인 참여를 기대 합니다.
  4. '주간강좌'와 '주간세미나'는 모두에게 열려 있습니다. 누구든 막론하고 참여 환영 합니다! (E-mail: goodkook@khu.ac.kr)

다룰 내용

설계도구의 사용법은 간단히하며 다양한 활용을 다룹니다. 최근 반도체 설계 도구의 발전을 보건데 사용법은 단순해졌고 알고리즘의 구현을 강조하고 있습니다. 예전의 수없이 많은 최적화 옵션으로 설계자들의 혼란케하던 시절도 있었지만 이제는 툴 공급자의 기본설정을 권장합니다. 사용법이 아닌 설계자의 의도를 명확히 해주는 것이 중요 합니다. 그러려면 적용될 하드웨어에 맞춰 알고리즘의 계산특성을 파악하고 수정해 줘야 최상의 결과(입출력 조건, 하드웨어 크기, 수행 클럭 수, 소모전력)를 얻을 수 있습니다. 통신 시스템, 인공지능, 기계학습등에 적용되는 알고리즘을 다루도록 합니다.

  1. C++ / SystemC, Python, HDL(Hardware Description Language)
  2. SoC Design Flow / 추상화 수준 / TLM(Transaction Level Modeling)
  3. 검증환경 작성 기법 (Co-Simulation / Emulation)
  4. HDL-RTL 합성 및 HLS (High-Level Synthesis): FPGA & ASIC
  5. Radio System 및 SDR (Software Defined Radio) : Digital Signal Processing
  6. 인공지능 / 기계학습 알고리즘: (AI & ML Algorithms)

FPGA는 물리학, 우주과학, 항공기계, 의료공학 분야에도 많이 활용되고 있습니다. 제 분야에 학생 연구자들에게도 반도체 설계 교육을 제공 할 수 있을 것이며 반도체 설계 전공 학생들에게는 다양한 취업 방향을 모색하는 동기가 될 것입니다. 이와 관련하여 교내외 연구자들의 참여를 기대 합니다.

설계도구 목록

학습자의 개인 컴퓨터를 적극 활용하여 '자기주도' 학습을 돕고자 '무료' 설계 도구를 활용 합니다. 아래에 소개할 '무료' 도구들은 단순한 교육용 도구들이 아닙니다. 상용의 도구들 이지만 제작사들의 관대함 덕에 '무료'로 교육용으로 사용할 수 있도록 배포되고 있습니다. 그외 GNU 정신에 의거 '집단지성'의 힘으로 완성된 수준 높은 도구들 입니다.

1. C, C++

  • MicrosoftVisual Studio Community Edition
  • Linux GCC G++

2. Python

  • Jupyter Notebook

3. Wolfram(Mathematica)

4. HDL (Hardware Description Language)

  • QuestaSim

5. SDR (Software Defined Radio)

  • GNU Radio / Linux

6. Layout Tool

  • Magic / Linux

7. SPICE

  • LT-Spice

8. RTL-HDL & HLS(High Level Synthesis)

  • Xilinx Vitis HLS
  • Microchips Libero/Smart HLS

9. Microprocessor

  • Arduino IDE (AVR)
  • STM-32 GNU toolchain(ARM)
  • uC/OS (Real-Time OS)

----------------------------------------------------------------

[토론] Synopsys의 Design Compiler 를 배워야 할까?


댓글 없음:

댓글 쓰기